pwm xilinx-el
hoyuka
hoyuka at gmail.com
Mon Jan 16 19:49:29 CET 2006
Haliho!
Talan egy egyszerubb megoldasnak megteszi:
Ez egy egyszeru PWM module, remelem jo is :), ha "kritikus" az
alkalmazas nem art ra reset es a bemenetet vmifele beirojelre
latch-elni (nem tom, hogy ez e a helyes kifelyezes...)
module PWM(clk, PWM_In, PWM_Out);
input wire clk;
input wire [7:0] PWM_In;
output wire PWM_Out;
reg [7:0] periodCnt;
assign PWM_Out=periodCnt<PWM_In;
always @(posedge clk) begin
if (periodCnt==255) begin
periodCnt=0;
end else
periodCnt=periodCnt+1;
end
endmodule
Na, ha allitani akarod a frekit is, akkor erre olyan clk-t kotsz ra,
aminek a periodus ideje allithato. Ez a modul szamitasaim szerint
50MHz-es orajelnel 195kHz-es PWM jelet allit elo 256-os felbontasban.
osztasok:
195kHz/19=10.26kHz
195kHz/20=9.75kHz...
Igy a felso tartomanyban eleg durva a fel kHz-es ugras, szal lehet nem
ez a megoldas a te baratod. Mondjuk ugyes frekiosztonal tort szamokat
is lehetne hasznalni, azzal eleg finoman lehetne allitani.
udv.
hoyuka
On 1/16/06, Erdos Zoltan <silverst at axelero.hu> wrote:
> Akkor pontositok:
>
> hangfrekis (100hz-10000hz) kellene digitalisan vezerelt (freki nem
> pontos, csak valtoztathato) negyszog oszcit csinalni..
> A masik resze, hogy a negyszogjel kitoltesi tenyezoje is valtoztathato
> legyen (8 bit digit)
> Az egeszet pedig egy cpld-be kene paszirozni, es nem prociba... ha lehet...
> Persze, ha mas nincs, megcsinalom procival..
>
> De 10khz=100us ciklus plusz 256-os pwm az azert eleg izzaszto tud lenni
> egy procinak, szoftbol.. Ha meg hw, akkor mar minek a proci?
>
> Z.
>
> Nya'ri Viktor wrote:
>
> > Már tegnap is láttam a kérdést, csak nem volt teljesen egyértelmu".
> > Mit is akarsz csinálni?
> >
> > Mi lenn az alsó és felso" freki?
> > Eközött kellene 256 (255) lépésben állítani a frekit a bemeno" 8 bittel?
> > A kitöltést meg másik 8 bittel 0% - 100% között szintén 255 lépésben?
> >
> > Valami uC-vel akarod az egészet megoldani, vagy célIC-t keresel? Esetleg
> > hibrid megoldás?
> > Ha uC, akkor milyen gyors uC-d van erre?
> >
> > Én lehet, hogy DDS-sel esnék neki; kérdés, mennyire érzékeny a cucc a
> > jitterre? Meg mennyire kell pontosan a kitöltés?
> >
> > V.
> >
> > Erdos Zoltan wrote:
> >
> >> Hali!
> >>
> >> Csak egy szimpla otletborzet inditanek..:-)
> >>
> >> 8 input labbal vezerelten hogy lehetne legegyszerubben hangfrekis
> >> negyszoges DCO (digital controlled oszci) csinalni, valamint masik 8
> >> bittel ennek kitoltesi tenyezot (PWM) allitani?
> >>
> >> esetleg latott valaki a neten erre kesz projektet?
> >>
> >> Z.
> >>
> >>
> >>
> >> -----------------------------------
> >> Szponzorunk: http://tonerbolt.hu/
> >>
> >>
> >
> >
> > -----------------------------------
> > Szponzorunk: http://tonerbolt.hu/
> >
> >
>
>
> -----------------------------------
> Szponzorunk: http://tonerbolt.hu/
>
--
http://hoyuka.myip.hu
More information about the Elektro
mailing list