cpld globalis reset
Rado Zoltan
zrado at leasingtechnology.com
Wed Sep 22 21:21:00 CEST 2004
Na kicsit optimalizáltam... :-)
Igaz, kevesebbet kell írni, ugyanakkor kevésbé beszédesebb, nehezebben
értelmezhetõ, mint ahogy az elsõ verziót írtam...
Tehát a Viktornak az alul lévõ KÉT sor kell... ;-)
---------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
entity test1 is
Port ( A,B,D : in std_logic;
Q : out std_logic);
end test1;
architecture Behavioral of test1 is
SIGNAL inner_clk: std_logic;
begin
inner_clk <= A AND B;
Q <= D when rising_edge(inner_clk);
end Behavioral;
More information about the Elektro
mailing list