cpld globalis reset

Babrian Viktor v at renyi.hu
Wed Sep 22 18:01:06 CEST 2004


ez igazan jellemzo a vilagra, ram es a kettonk viszonyara:
Ha letezik 3 nyelv, amelyek egyiken nem tudok megcsinalni valamit, akkor
tuti, hogy a masik ket nyelven kapok megoldast ;)

na igy en is probaltam verilogban, de azt mondta ra valamelyik eszkoz,
hogy nem ismeri az inner_clk szimboleumot.
de futok meg nehany kort akkor ez iranyban, kosz a segitseget.


> Naszóval akkor mindenki okulásául, öröméül:
> Illetve majdnem mindenki ;-)
> Szép napokat!
>
> Zoli
>
> ---------------------------------------------------
>
> library IEEE;
> use IEEE.STD_LOGIC_1164.ALL;
> use IEEE.STD_LOGIC_ARITH.ALL;
> use IEEE.STD_LOGIC_UNSIGNED.ALL;
>
> entity test1 is
>     Port ( A : in std_logic;
>            B : in std_logic;
>            D : in std_logic;
>            Q : out std_logic);
> end test1;
>
> architecture Behavioral of test1 is
>
> SIGNAL inner_clk:       std_logic;
>
> begin
>
> inner_clk <= A AND B;
>
> ff:process(inner_clk)
> begin
>         if inner_clk'event and inner_clk = '1' then
>                 Q <= D;
>         end if;
> end process ff;
>
>
> end Behavioral;
>
> -------------------
>  UCF file:
> -------------------
>
> net inner_clk BUFG=CLK;
> ------------------------------------------------------------
>
>
>
>
> -----------------------------------
>  Szponzorunk: http://tonerbolt.hu/
>




More information about the Elektro mailing list