[elektro] VHDL kezdo

Csiszlér Gergely Csiszler.Gergely at mediso.hu
Thu Jan 24 13:29:19 CET 2008


Hi!

A LED nem 24 bit vektor!

Üdv
    G

Moczik Gabor írta:
> Hali!
>
> Jatszok itt egy demo panellel, van rajta egy gomb, led es egy 1.8432MHz 
> oszcillator. Miert nem mukodik ez az igen komplex VHDL kod, nem jo igy, vagy 
> valami mas hibat keressek?
>
> entity xv is
>     Port (  LED : out std_logic;
>             btn : in std_logic;
> 	   clk : in std_logic
> 	);
> end xv;
>
> architecture behavioral of xv is
>     signal deb_cnt :std_logic_vector(24 downto 0);
>
> begin
>    process(clk)
>    begin
>      if clk'event and clk='1' then
> 	 deb_cnt <= deb_cnt + '1';
> 	 LED <= deb_cnt(24);
>      end if;
> end process;
>
> end behavioral;
>
>   



More information about the Elektro mailing list