Spartan3 blockRAM kerdes

Zoltán Radó elektro1.zrado at axelero.hu
Mon Feb 13 13:21:37 CET 2006


Tettem egy próbát. Leírtam a block ram működését VHDL-ben.

Hát, hamu a fejemre. Tényleg tud az ISE block ram-ot használni. Aztán, hogy
ezt más fordító miként kezeli??

Okulásként a kód: (Csobolygó Jánosnak 20 sorosként ajánlva.. :-D )

Zoli

----------------------------------------------------------------------

library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;

entity teszt3 is
    Port ( clk : in std_logic;
           a : in std_logic_vector(7 downto 0);
           din : in std_logic_vector(7 downto 0);
           dout : out std_logic_vector(7 downto 0);
           wr_en: in std_logic
                 );
end teszt3;

architecture Behavioral of teszt3 is

type mem_type is array (0 to 31) of std_logic_vector(7 downto 0);

SIGNAL mem:     mem_type;
SIGNAL temp_a:  std_logic_vector(7 downto 0);

begin

memory: process(clk)
begin
        if clk'event and clk = '1' then
                if  wr_en = '1' then
                        mem(conv_integer(a)) <= din;
                end if;
                temp_a <= a;
        end if;
end process;

dout <= mem(conv_integer(temp_a));

end Behavioral;

---------------------------------------------------------------------------

>> Ha ezt a szorzoval meg lehet csinalni, akkor RAM-al miert nem?
> Szerintem meg lehet csinalni. Regen probaltam irni egy FIFO-t. Szerettem
> volna ha blockramot hasznal. Probalkozas kozben hol blockrambol epitette
> fel hol regiszterekbol. Nyilvan az utobbi nagyobb mereteknel sokaig is
> eltarthatott. A kovetkeztetest amit levontam, az az, hogy ha a fordito
> ugy talalja, hogy az eszkozben van olyan celhardver ami jo akkor azt
> fogja hasznalni, ha nincs akkor letrehozza. Persze az, hogy az ember mit
> szeretne hasznalni es a fordito vegulis mit hasznal az ket kulonbozo
> dolog. Vagy ugy kell megirni a kodot, hogy a fordito rajojjon, hogy ez
> egy blockram, vagy meg kell hivni kulon.






More information about the Elektro mailing list