VHDL kerdes

Moczik Gabor pm at progzmaster.hu
Sun Aug 20 14:13:12 CEST 2006


Hali!

Most ismerkedek a dologgal. A 'LED <=' sorban miert nem mukodik siman 
cnt(20)-al?
Az a legnagyobb helyierteku bit, nem?
Probaltam cnt(0)-val is, ugy se.

entity xv is
    Port (  LED : out std_logic;
            btn : in std_logic;
            clk : in std_logic
         );
end xv;

architecture behavioral of xv is
	signal cnt : std_logic_vector(20 downto 0);

begin
   process(clk)
   begin
     if clk'event and clk='1' then
          cnt <= cnt + 1;
     end if;
   end process;

   LED <= cnt(20) and cnt(0);

end behavioral;


-- 
((( Móczik Gábor  )))--((( pm -> @ -> progzmaster -> . -> hu  )))
((( Skype: moczik )))--((( Website: http://www.progzmaster.hu )))



More information about the Elektro mailing list